Skip to content
  • Luca Barbieri's avatar
    glsl: add continue/break/return unification/elimination pass (v2) · 3361cbac
    Luca Barbieri authored
    Changes in v2:
    - Base class renamed to ir_control_flow_visitor
    - Tried to comply with coding style
    
    This is a new pass that supersedes ir_if_return and "lowers" jumps
    to if/else structures.
    
    Currently it causes no regressions on softpipe and nv40, but I'm not sure
    whether the piglit glsl tests are thorough enough, so consider this
    experimental.
    
    It can be asked to:
    1. Pull jumps out of ifs where possible
    2. Remove all "continue"s, replacing them with an "execute flag"
    3. Replace all "break" with a single conditional one at the end of the loop
    4. Replace all "return"s with a single return at the end of the function,
       for the main function and/or other functions
    
    This gives several great benefits:
    1. All functions can be inlined after this pass
    2. nv40 and other pre-DX10 chips without "continue" can be supported
    3. nv30 and other pre-DX10 chips with no control flow at all are better supported
    
    Note that for full effect we should also teach the unroller to unroll
    loops with a fixed maximum number of iterations but with the canonical
    conditional "break" that this pass will insert if asked to.
    
    Continues are lowered by adding a per-loop "execute flag", initialized to
    TRUE, that when cleared inhibits all execution until the end of the loop.
    
    Breaks are lowered to continues, plus setting a "break flag" that is checked
    at the end of the loop, and trigger the unique "break".
    
    Returns are lowered to breaks/continues, plus adding a "return flag" that
    causes loops to break again out of their enclosing loops until all the
    loops are exited: then the "execute flag" logic will ignore everything
    until the end of the function.
    
    Note that "continue" and "return" can also be implemented by adding
    a dummy loop and using break.
    However, this is bad for hardware with limited nesting depth, and
    prevents further optimization, and thus is not currently performed.
    3361cbac